77范文网 - 专业文章范例文档资料分享平台

通信原理课程设计 基于FPGA的时分多路数字基带传输系统的设(8)

来源:网络收集 时间:2018-11-17 下载这篇文档 手机版
说明:文章内容仅供预览,部分内容可能不全,需要完整文档或者需要复制内容,请下载word后使用。下载word有问题请添加微信号:或QQ: 处理(尽可能给您提供完整文档),感谢您的支持与谅解。点击这里给我发消息

end component;

component loop_filter generic(M:integer);

port(Reset :in std_logic; Clk :in std_logic; Clk_Est :in std_logic;

insert_signal :out std_logic; reduce_signal :out std_logic;

Phase_Error :in std_logic_vector(1 downto 0) );

end component;

component digital_co

port(Reset :in std_logic; Clk :in std_logic; Clk_Est :buffer std_logic; insert_signal :in std_logic; reduce_signal :in std_logic );

end component; signal clk_est :std_logic;

signal phase_error :std_logic_vector(1 downto 0); signal insert_signal :std_logic; signal reduce_signal :std_logic;

begin

u1:phase_compare

port map(reset=>reset, clk_est=>clk_est, date_in=>date_in,

phase_error=>phase_error );

u2:loop_filter generic map(256) port map(reset=>reset, clk=>clk,

clk_est=>clk_est,

phase_error=>phase_error,

35

insert_signal=>insert_signal, reduce_signal=>reduce_signal );

u3:digital_co

port map(reset=>reset, clk=>clk,

insert_signal=>insert_signal, reduce_signal=>reduce_signal, clk_est=>clk_est );

clk_estimate<=clk_est; data_out<=date_in;

end rtl;

仿真图:

36

6 通信系统课程设计心得体会

在学习了通信原理专业课后,对于通信系统课程设计,我们进行了两周左右的准备与调试,中间暴露了很多问题,当然也有很多挑战,经过小组的共同努力和老师的耐心指导下完成了本小组选题。作为对于通信原理专业必修课的一种检验,我们发现自己对于知识的掌握和理解还不够,尤其是涉及到实际运用当中的具体问题,比如同步过程的建立,尤其是位同步的建模与设计、上机调试。我们尝试了几种方法,在具体比较后,最后挑选了一个最小误差的数字锁相环成熟方案。还有在使用软件的过程中暴露出来的问题,软件的使用、调试,尤其是各个模块合成时的同步。在刚开始,以课程设计要求为基础,我们进行了一次讨论,完成了方案的总体思路和小组模块划分,并确定了完成课程设计的总体过程。首先是收集资料,比如图书馆里关于FPGA通信系统建模方面的书籍,网上关于数字基带传输系统的资料。然后基于资料做了一个基本模型,关于这个基本模型又进行了一次讨论,大家对每个模块发表自己的建议与修改提议,再由模块负责人进行改进、提高。经过一段时间的修改,终于由基本模型过渡到了最终模型。最终再基于最终模型进行了编程与上机调试。

本次通信系统课程设计,加深了我们对于本专业的认识,尤其对通信原理的理解得到了很深的提高,更为以后的实际运用得到了锻炼。我们也在小组分工合作中体会到了分工合作、协调共进的重要性,

37

一个大的系统工程涉及到方方面面,需要很多人的通力合作才能取得最后的整体成功。比如我们一直关心的同步问题。对于这次课程设计收获颇丰,很实在的锻炼了自己的各项能力,是对未来进入通信行业的一次铺垫。希望能够在此基础上还能提高与进步。

38

附录: 参考资料:

[1]樊昌信,曹丽娜.通信原理(第6版)[M].北京:国防工业出版社,2011.

[2]王兴权.Quartus II的FPGA设计手册.桂林电子科技大学计算机与控制学院, 2007 . [3]褚振勇等. FPGA设计与应用[M].西安:西安电子科技大学出版社,2002.

39

百度搜索“77cn”或“免费范文网”即可找到本站免费阅读全部范文。收藏本站方便下次阅读,免费范文网,提供经典小说综合文库通信原理课程设计 基于FPGA的时分多路数字基带传输系统的设(8)在线全文阅读。

通信原理课程设计 基于FPGA的时分多路数字基带传输系统的设(8).doc 将本文的Word文档下载到电脑,方便复制、编辑、收藏和打印 下载失败或者文档不完整,请联系客服人员解决!
本文链接:https://www.77cn.com.cn/wenku/zonghe/282507.html(转载请注明文章来源)
Copyright © 2008-2022 免费范文网 版权所有
声明 :本网站尊重并保护知识产权,根据《信息网络传播权保护条例》,如果我们转载的作品侵犯了您的权利,请在一个月内通知我们,我们会及时删除。
客服QQ: 邮箱:tiandhx2@hotmail.com
苏ICP备16052595号-18
× 注册会员免费下载(下载后可以自由复制和排版)
注册会员下载
全站内容免费自由复制
注册会员下载
全站内容免费自由复制
注:下载文档有可能“只有目录或者内容不全”等情况,请下载之前注意辨别,如果您已付费且无法下载或内容有问题,请联系我们协助你处理。
微信: QQ: